summaryrefslogtreecommitdiff
path: root/pwm.h
diff options
context:
space:
mode:
Diffstat (limited to 'pwm.h')
-rw-r--r--pwm.h2
1 files changed, 1 insertions, 1 deletions
diff --git a/pwm.h b/pwm.h
index fd479e8..45d7532 100644
--- a/pwm.h
+++ b/pwm.h
@@ -20,7 +20,7 @@
#define PWM_H
void pwm_set_rgb(uint8_t r, uint8_t g, uint8_t b);
-void pwm_worker_loop(void);
+void pwm_tick(void);
void pwm_init(void);
#endif